您的位置  经济生活  财经

集微咨询:薄膜沉积设备国产替代空间广阔 需注重协同、专利与整合

  • 来源:互联网
  • |
  • 2021-12-23
  • |
  • 0 条评论
  • |
  • |
  • T小字 T大字

集微咨询(JW Insights)认为:

- 薄膜沉积设备CVD和PVD多线并举,国内设备厂应在量大面广的PECVD、ALD以及PVD领域加强研发与量产;

- 在下游晶圆厂扩产增效、逻辑芯片代工厂先进产线占比提升以及3D NAND技术普及等,均将进一步推动薄膜沉积设备的行业空间扩容,并对薄膜工艺和材料的精密化、多样化要求催生更多行业增长点,为国产替代提供新契机;

- 半导体设备的国产化是一大系统工程,国内薄膜沉积设备厂商要从加强研发开始,注重专利池,同时也需要代工厂在供应链环节,给予国内设计厂商更多的验证与试错机会,并借力并购来不断发展壮大。

实现我国半导体产业链的自主可控,半导体设备可谓至关重要,直接关系芯片设计能否落成实物、产品可靠性和良率能否达到设计标准、国内行业是否能够参与全球竞争。而晶圆制造是半导体制造过程中最关键也是最复杂的环节,占比为80%左右。据预测,今年全球半导体设备市场规模预计将达到953亿美元,其中817亿美元来自制造设备。

晶圆制造过程包括数百道工艺流程,涉及数十种半导体设备,要通过热处理、薄膜沉积、涂光刻胶、曝光、烘焙、显影、刻蚀等一系列步骤的循环往复,其中光刻机、刻蚀机、薄膜沉积设备的技术难度最大,价值量占比最高,可谓是三大剑客,占据70%以上的市场。

薄膜沉积设备可以说牵一发而动全身。当前,我国半导体设备依旧高度依赖于海外企业,并且在核心技术和零部件上受到一定的限制。而中国作为半导体设备的重要市场,随着各地半导体项目的林立,晶圆代工厂的产能扩建热潮以及自主可控进程的推进,薄膜沉积设备厂商也迎来了快速成长和突破的新黄金期。

CVD和PVD多线并举

作为制造过程中的一个重要环节,薄膜沉积指在半导体的主要衬底材料“硅”上镀一层膜,这层膜可以采用各种各样的材料,如绝缘化合物二氧化硅、多晶硅、金属铜等等,用来镀膜的这一设备就叫薄膜沉积设备。

根据工作原理不同,薄膜沉积工艺可分为物理气相沉积(PVD)、化学气相沉积(CVD)两大类。随着制程精进,要沉积的层更多,薄膜沉积设备市场空间在不断扩大。

这两大类设备各有用武之地,互为补充。

PVD是在真空条件下,采用物理方法,通过加热或溅射过程将固态材料气态化,然后使蒸汽在衬底表面凝结形成固态薄膜,多应用于金属的沉积。PVD工艺在经历了不断的演变之后,由于溅射设备制备的薄膜更加均匀、致密,对衬底附着性强,纯度更高,因而溅射路线成为主流。

CVD是指通过气体混合的化学反应在硅片表面沉积薄膜的工艺,可应用于绝缘薄膜、多晶硅以及金属薄膜的沉积。根据反应条件的不同又分为常压CVD(APCVD)、低压CVD(LPCVD)、等离子体增强CVD(PECVD)、高密度等离子体CVD(HDPCVD)以及原子层沉积(ALD)。APCVD主要应用在二氧化硅和氮化硅的沉积,LPCVD主要应用于多晶硅、二氧化硅及氮化硅的沉积。PECVD通过等离子产生的自由基来增加化学反应速度,可以利用相对较低的温度达到较高的沉积速率,广泛应用于氧化硅、氮化硅、低k、ESL和其他电介质薄膜沉积。

从市场需求来看,由于薄膜沉积工艺中CVD技术路线较多,具有较好的孔隙填充和膜厚控制能力,CVD在金属沉积方面的应用正在增加。据Gartner统计,CVD始终是应用最广的沉积设备,市场空间近90亿美元,占沉积设备整体市场份额的64%。其中,等离子体CVD与原子层沉积ALD成为最主流的CVD技术,分别占到34%和13%的市场份额。PVD的应用仅次于CVD,2020年溅射PVD设备的市场空间达到近30亿美元,占比21%,应用仅次于等离子体CVD。

要注意的是,一方面,PECVD 正成为化学气相沉积的主流技术。引入等离子体可有效降低沉积工艺的热预算,同时提升了沉积速率和对高深宽比孔隙的填充能力,使用等离子体的化学沉积工艺包括PECVD、HDPCVD 等。另一方面,ALD在膜层的均匀性、阶梯覆盖率以及厚度控制等方面都具有明显的优势,在铜种子层、高K栅介质淀积等工序中发挥着重要的作用,是新一代纳米级CVD工艺。

据Gartner统计,2020年全球薄膜沉积设备市场空间约140亿美元,占晶圆厂设备投资额的25%。到2024年PECVD和ALD将分别占据CVD设备市场的51%和19%。

2024年CVD市场占比 来源:Gartner

迎来全新黄金期

在多重因素的助推下,薄膜沉积设备将迎来全新的黄金期。

随着5G、AI、云计算以及汽车电子端的旺盛需求,加上应对全球缺芯以及加强制造业回流之举,全球晶圆厂进入新一轮扩产周期,FAB厂商也在不断上调资本开支预期。2021年半导体设备投资额有望实现30%以上增速。根据SEMI报告,预测全球半导体制造设备市场2021年全年将增长34%达到953亿美元,2022年有望再创新高突破1000亿美元大关。此外,受益于大陆晶圆厂扩产增效,2020年大陆半导体设备销售额187.2亿美元,同比增长39%,首次超过中国台湾地区成为全球第一大半导体设备市场,占全球份额由2016年的16%升至26%。

同时,随着产线的逐渐升级和先进制程产线占比提高,晶圆制造的复杂度和工序量都大大提升,对薄膜沉积设备数量和性能的需求将继续随之提升。据Gartner数据,预计到2024年用于7nm以下制程的半导体设备出货量占比有望突破30%。以SMIC的180nm的8英寸产线和90nm的12英寸产线为例,在实现相同芯片等效产能的情况下,对薄膜沉积设备的需求量将相应增加4-5倍。

特别要指出的是,对于制程在14nm及以下的逻辑器件,由于普遍使用的浸没式光刻机受到波长限制,加工将通过等离子体刻蚀和薄膜沉积的工艺组合,即多重模板效应来实现,这将使得相关薄膜设备的加工步骤增多,薄膜设备厂商将充分受益。而且,在闪存方面,随着主流制造工艺已由2D发展为3D结构,其堆叠层数与薄膜工序直接挂钩,随着3D NAND的内部层数不断增高,对于薄膜沉积设备的需求提升的趋势亦将延续。

展望未来,在下游晶圆厂扩产增效、逻辑芯片代工厂先进产线占比提升以及3D NAND技术普及等,均将进一步推动薄膜沉积设备的行业空间扩容。而且并对薄膜工艺和材料的精密化、多样化要求将催生更多行业增长点,为国产替代提供契机。

更要注意的是,先进制程下对薄膜工艺和材料的精密化、多样化要求将催生更多行业增长点,产生各种薄膜沉积工艺设备份额的变化,并为国产替代提供契机。在薄膜性能方面,先进制程的前段工艺对薄膜均匀性、颗粒数量控制、金属污染控制的要求逐步提高,在设备种类方面,薄膜厚度控制精准的ALD等设备将被更多地引入产线。

集微咨询(JW insights)认为,在这一大趋势下,全球半导体薄膜沉积设备市场规模将因此高速增长,中国薄膜沉积设备行业将保持高成长性,未来市场规模将向两三百亿美元迈进。

从市场格局来看,以应用材料、泛林半导体、东京电子为代表的海外半导体设备供应商由于起步较早,具备雄厚的研发实力和全面的产品谱系,在薄膜沉积尤其是CVD和PVD设备市场占有较大的份额,垄断格局较为明显。

据Gartner统计,2020年应用材料、泛林半导体、东京电子在全球沉积设备的市场份额分别达到43%、19%和11%。应用材料产品谱系最为全面,PVD 设备独占 85%细分市场份额,在等离子体CVD中也有近49%的份额;泛林半导体在CVD及沉积后处理工艺布局全面,ECD设备一家独大;东京电子以11%占有率位列第三,在管式CVD设备市场占有率达46%,APCVD、LPCVD 及 ALD 均处在行业前列。此外,半导体设备巨头ASMI则在适用于先进制程的ALD具备较强的技术储备,在相应细分市场占有率46%。

2020年全球薄膜沉积市场格局 来源:Gartner

相较于国内设备市场扩容速度,国产化率仍处在较低水平,未来薄膜沉积设备国产替代具备广阔空间。

国产化突破之路

在薄膜沉积设备的赛道上,国内厂商也在多线布局,但国产化率仅有2%,98%依赖进口,未来替代空间巨大。

据集微咨询(JW insights)不完全统计,在CVD领域,主要厂商有北方华创、中微半导体、拓荆科技、中电科48所、中晟光电、沈阳科仪等,PVD领域相对较少,主要有北方华创、中电科48所、沈阳科仪、创世威纳等。

在这些厂商中,北方华创、拓荆科技和中微公司处于领先地位。

北方华创在CVD、PVD等相关设备领域已取得多项突破,覆盖了90-14nm多个制程。在PVD设备方面,北方华创突破了多项关键技术,建立了具有自主知识产权的核心技术优势,并成功进入国际供应链体系。在CVD设备方面,先后完成了PECVD、APCVD、LPCVD、ALD等设备的开发,凭借优秀的工艺性能和产能优势,在国内外客户获得批量应用。此外,在ALD设备方面也实现了零的突破。

拓荆科技则发力PECVD、ALD和SACVD三大类设备,已广泛应用于国内晶圆厂14nm及以上制程集成电路制造产线,并已开展10nm及以下制程的验证测试。ALD设备在国内处于领先地位,同时也是国内唯一一家产业化应用的集成电路SACVD设备厂商。

而中微半导体除在刻蚀设备深耕之外,在薄膜沉积设备领域也在强力攻关,持有拓荆科技11.2%股份。为与拓荆科技形成技术互补,中微半导体在薄膜沉积技术的布局将集中在单晶外延以及金属LPCVD两个方向,并大量募资投入HPCVD、LPCVD、EPI等设备的开发及工艺应用开发。

目前来看,单靠一家公司很难覆盖全部CVD设备,北方华创、拓荆科技、中微公司等国内厂商应各有侧重,全面布局,将有望实现国产设备在CVD设备的全覆盖,当然这还需要时间。

对于薄膜沉积设备的国产化之路,集微咨询(JW insights)认为,一方面半导体设备的国产化是一大系统工程,国内薄膜沉积设备厂商要从加强研发开始,因半导体制造设备行业是一个高技术壁垒、高资金壁垒和高市场壁垒等为特征的行业,研发周期长,前期需要持续的研发投入。而技术创新能力将是半导体设备企业平台化、国际化的源动力,技术差异化创新也将是本土半导体设备企业缩小与国际品牌之间的差距,实现客户全球化的必经之路。

另一方面,半导体制造设备的验证壁垒高,从设备样机下线到最终交付客户需要至少2年的时间,产业化周期长。因而这也需要代工厂在供应链环节,给予国内设计厂商更多的验证与试错机会,同时国内设备厂对于关键试错结果要能迅速改正及提高,只有反复多次,才能逐步满足量产要求。否则,成本很难降下来,质量也难以提升。

随着中芯国际、长江存储、粤芯等扩产将在2022年进一步加大幅度,士兰微、华虹华力、闻泰等持续扩产,2022年是本土晶圆厂将进行产能最大幅度扩张和制程技术持续提升的一年,是本土晶圆厂重塑行业格局、奠定行业地位的一年,因此本土半导体设备需求也将迎来边际变化较大的一年,国内在相对成熟设备PVD、PECVD等要着力寻求市占率的进一步大幅提升,在新设备领域寻求更多的验证机会。

同时,要注重的是当国内设备厂商占据足够的市场份额之际,一定会面临专利纠纷。专利是国际半导体设备企业相互遵循的竞争准则,国内半导体设备厂商一定要培养专利意识,把控好专利特征度、创新性、有效性、合规稳定性,形成技术威慑力才能有助于更长远地发展。

此外,国外半导体设备巨头在行业深耕多年,已构筑了坚固的护城河,国内半导体制造设备企业目前还处于从0到1的突破阶段,部分环节处于从1到N的升级阶段,与国外巨头存在很大差距。因而,在适当时机通过并购等外延式成长途径扩大产品和市场覆盖对于处于攻坚阶段的国内薄膜设备厂商来说是十分必要的。(萨米)

,火花游戏国语版全集,海洋弧菌,罗志祥为母亲办乒乓球赛 http://www.cityruyi.com/lm-2/lm-1/19306.html
免责声明:本站所有信息均搜集自互联网,并不代表本站观点,本站不对其真实合法性负责。如有信息侵犯了您的权益,请告知,本站将立刻处理。联系QQ:1640731186
  • 标签:,写轮眼进化动态图,热血无赖如何解锁dlc,淘宝店铺优惠券
  • 编辑:马拉文
  • 相关文章